32 bit vedic multiplier verilog code
#1

verilog code for 32 bit vedic multiplier is required ..
Reply
#2
hey guys , could you please send me 32 bit Vedic multiplier vhdl code or Verilog code.
thanks in advance
hey guys , could you please send me 32 bit Vedic multiplier vhdl code or Verilog code.
thanks in advance.

yared246[at]gmail.com
Reply
#3

32 bit vedic multiplier verilog code

Abstract

Binary multipliers and addresses are used in the design and development of Arithmetic Logic Unit (ALU), Digital Signal Processing (DSP) Processors, Multiply and Accumulate (MAC).The objective of this paper is to implement digital multipliers based on the concept of Vedic mathematics. In order to develop a digital multiplier, Urdhva-tiryakbyham sutra of Vedic mathematics is used to implement vertical and cross wise operations. Since these are digital multipliers, they are implemented on FPGA board and are tested through the 8 LED (s) in FPGA (Nexys 3). A 32-bit Vedic multiplier has been simulated in Xilinx ISE 13.4 and has been compared with a 32-bit binary multiplier.The Vedic Multiplier and the Reversible Logic Gates has Designed and implemented in the multiply and Accumulate Unit (MAC) and that is shown in this paper. A Vedic multiplier is designed by using Urdhava Triyagbhayam sutra and the adder design is done by using reversible logic gate. Reversible logics are also the fundamental requirement for the emerging field of Quantum computing. The Vedic multiplier is used for the multiplication unit so as to reduce partial products and to get high performance and lesser area. The reversible logic is used to get less power. The MAC is designed in Verilog HDL and the simulation is done in Modelsim, Xilinx 14.2 and synthesis is done in both RTL compiler using cadence as well as Xilinx. The chip design for the proposed MAC is also carried out.
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: verilog code for 8 bit nikhilam sutra, registered array multiplier using n bit adders code, verilog code for 4 bit signed baugh wooley multiplier, vhdl code for modulo 16 bit multiplier, 4 bit baugh wooley multiplier verilog, braun multiplier 4 bit program using verilog pdf download, simple 16 bit multiplier vhdl code,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  multiplication using the nikhilam sutra vedic maths 1 982 23-10-2017, 11:09 PM
Last Post: Diya_nila
  verilog radix 8 booth multiplier 7 3,254 18-10-2017, 11:05 AM
Last Post: jaseela123d
Video verilog code for low power and area efficient carry select adder 2 1,555 02-05-2017, 09:56 AM
Last Post: jaseela123d
  vhdl code for 128 bit carry select adder 1 869 15-04-2017, 12:19 PM
Last Post: jaseela123d
  verilog code for linear convolution 1 1,427 12-04-2017, 02:26 PM
Last Post: jaseela123d
  vhdl code for 128 bit carry select adder 1 824 10-04-2017, 11:27 AM
Last Post: jaseela123d
  8 bit braun multiplier design ppt shruthi t c 2 1,918 07-04-2017, 02:32 PM
Last Post: ppar
Star code of parallel multiplier in vhdl 1 813 07-04-2017, 11:49 AM
Last Post: jaseela123d
  water level controller using verilog 1 724 04-04-2017, 12:29 PM
Last Post: jaseela123d
  matlab code for adaptive differential pulse code modulation 1 1,131 04-04-2017, 11:49 AM
Last Post: jaseela123d

Forum Jump: