kogge stone adder verilog code
#1

hi

Iam doing project on optimization process and in that project we need the verilog code of the kogge-stone adder for 4-bit.

In this regard please send the verilog code for 4-bit kogge-stone adder.



thanks and regards,
hari
Reply
#2
Kogge-Stone adder Verilog code
KOGGE-STONE ADDER:

The KoggeStone has low logical depth, high number of nodes and minimum ventilation. While a high count of nodes implies a larger area, low logical depth and minimum fanout allow for faster performance
There are mainly three computational stages in KoggeStone Adder. They are:
1. Preprocessing
2. Carry the generation network
3. Post Processing

Pre-processing stage:
Preprocessing is the first stage in which the generation and propagation signals of all input pairs of signals A and B are generated separately for each bit. The logical equations of propagation and generation signals are given by the following equations:

Pi = Aix o Bi ... (1)
Gi = Aiand Bi ... (2)

Lead Generation Stage:
Lead generation is the second stage of the KSA. In this stage, the carries of all the bits are generated separately for each bit. They are divided into smaller pieces and this overall process is carried out in parallel for all bits. Carry generate and Carry propagate bits are used as intermediate signals and their logic
The equations are given as follows:

CPi: j = Pi: k + 1 and Pk: j ... (3)
CGi: j = Gi: k + 1 or (Pi: k + 1 and Gk: j) ... (4)

Post Processing Stage:
This is the final step or step of the KSA that is common to all types of adder, ie, the sum of the bits given by logical equations (5) and (6):

Ci-1 = (Pi and Cin) or Gi ... (5)
Si = Pix or Ci-1 ... (6)
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: kogge stone adder verilog code, kogge stone bcd adder,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  verilog radix 8 booth multiplier 7 3,264 18-10-2017, 11:05 AM
Last Post: jaseela123d
Video verilog code for low power and area efficient carry select adder 2 1,561 02-05-2017, 09:56 AM
Last Post: jaseela123d
  low power and area efficient carry select adder documentation 7 2,207 01-05-2017, 03:32 PM
Last Post: jaseela123d
  vhdl code for 128 bit carry select adder 1 871 15-04-2017, 12:19 PM
Last Post: jaseela123d
  verilog code for linear convolution 1 1,431 12-04-2017, 02:26 PM
Last Post: jaseela123d
  vhdl code for 128 bit carry select adder 1 824 10-04-2017, 11:27 AM
Last Post: jaseela123d
  water level controller using verilog 1 724 04-04-2017, 12:29 PM
Last Post: jaseela123d
  matlab code for adaptive differential pulse code modulation 1 1,131 04-04-2017, 11:49 AM
Last Post: jaseela123d
  verilog code wallace tree multiplier using compressor 1 829 31-03-2017, 04:16 PM
Last Post: jaseela123d
  vlsi implementation of steganography using fpga with verilog vhdl code 1 1,056 27-03-2017, 03:38 PM
Last Post: jaseela123d

Forum Jump: