Asynchronous Data-Driven Circuit Synthesis
#1

Abstract
A method is described for synthesizing asynchronouscircuits based on the Handshake Circuit paradigm but employinga data-driven, rather than a control-driven, style. This approachattempts to combine the performance advantages of data-drivenasynchronous design styles with the handshake circuit style of constructionused in existing syntax-directed synthesis. The methodis demonstrated on a significant design—a 32-bit microprocessor.This example shows that the data-driven circuit style providesbetter performance than control-driven synthesized circuits.This paper extends previous reported work by illustrating howconditional execution, oft-cited as a problem for data-drivendescriptions, is handled within the system, and by a more detailedanalysis of the design example.
Index Terms—Asynchronous design, Balsa, circuit synthesis,data-driven, digital design, handshake circuits, syntax-directed.
I. INTRODUCTION
BEFORE asynchronous synthesis techniques will be seriouslyconsidered over their synchronous counterparts,they must demonstrate that they can achieve competitive performance.The research reported here aims to improve the performanceof large synthesized asynchronous circuits. The focusof the approach is on a handshake circuit representation of thecircuit; that is to say, an abstract representation of the structureof the circuit which is independent of technologies, protocols,data encodings, or any other details of the actual circuit implementation.The handshake circuit paradigm allows the construction oflarge scale circuits by the composition of small handshake componentsthat are straightforward to implement in isolation. Hardwaredescriptions are written in a high-level language and compiledin a syntax-directed fashion into the handshake circuitrepresentation. This means the structure of the resulting circuitis directly related to the source code, allowing optimizationsand tradeoffs to be made at the source code level. Furthermore,writing circuit descriptions in languages such as Balsa [1], [2],[8], and Haste1 is relatively straightforward, even for novices.However, control overhead in the conventional control-drivenstyle of handshake circuit synthesis is a major obstacle to performance. Previouswork [32], [33] gives details of howthe control overheadarises in a conventional control-driven synthesis style, anoverview of previous attempts to mitigate its effects [4]–[6],[10], [13], [22] and an in-depth justification for a data-drivenapproach. For definitive background material on handshake circuitcompilation, see [3].Essentially there are the following three principal effects contributingto the control overhead.
• All inputs are synchronized with each other before any operationswithin a block can proceed because only controlmay activate processing operations and therefore it is necessaryfor the control to know that the inputs it will use areavailable
.• Reads and writes are sequenced to ensure that variables arenot written and read concurrently.
• Data processing operations only begin after the control initiatesthem due to the pull style of operation.
If the dataprocessing were to operate in parallel with the control thenthe overhead of the control should be reduced.Data-driven asynchronous design styles are thus much lessprone to the problem of control overhead, however, attempts toautomate transformations to existing Balsa handshake circuitsto produce more efficient structures along the lines of existingdataflow style compilation strategies [34], [35], [37] were notsuccessful because it was not clear what the result of suchoptimization should look like and it was very difficult to guaranteethe resulting circuit would behave in the same fashionas the original. Techniques such as data-driven decomposition(DDD) [37] rely on pipelining sequential programs and producemodified circuit behavior. A Balsa designer may depend onthe design behaving in the manner it was written which couldeasily not be the case after optimization; indeed if a DDD-typestrategy were to have been applied to the SPA processor [21]the memory interface would have broken.The approach presented here attempts to combine the benefitsof a data-driven style with the convenience and flexibility of thehandshake circuit paradigm which allows the robust synthesis oflarge circuits. To this end, the handshake circuit structures of thecontrol-driven Balsa synthesis method have been examined anddata-driven alternatives are proposed. To generate these structures,a data-driven description style is proposed and a compilerhas been developed to compile these description into a handshakecircuit representation. This compiler is integrated into theBalsa design flow enabling the use of existing Balsa tools formoving from the handshake circuit representation to a gate-levelcircuit.The organization of this paper is as follows. Section II reviewsother related work. Section III examines how “classic”Balsa handshake circuit templates can be more efficiently replacedby data-driven variants.


Download full report
ftp.ftp.cs.man.ac.uk/pub/apt/papers/ST_TVLSI09.pdf
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: asynchronous circuit design, data circuit edi vs epl, on q system at hilton hotel corporation data driven hospitality, synthesis, voice synthesis, seminar on synthesis of mechanism, beepers use asynchronous circuit,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  ROBUST DWT-SVD DOMAIN IMAGE WATERMARKING: EMBEDDING DATA IN ALL FREQUENCIES computer science crazy 2 5,222 19-06-2018, 06:10 PM
Last Post: KavyaIyengar
  DESIGN AND IMPLEMENTATION OF ASYNCHRONOUS FIFO FOR EMBEDDED APPLICATIONS computer science crazy 1 22,917 14-04-2015, 05:38 PM
Last Post: Guest
  wireless-data-communication-infrared-led seminar class 4 3,323 31-07-2013, 10:16 AM
Last Post: computer topic
  Secured Data Transmission through Network seminar surveyer 2 2,320 26-04-2013, 02:02 PM
Last Post: computer topic
  Wirelesss Data Encryptiion and Decryption using RF Communication project topics 17 11,489 03-02-2013, 10:30 PM
Last Post: mohanece401
  Electronic Circuit Break project topics 2 1,983 14-12-2012, 12:46 PM
Last Post: seminar details
  Enhancing Data Migration Performance via Parallel Data Compression seminar class 2 1,597 29-11-2012, 02:18 PM
Last Post: seminar details
  digital electronics project circuit titles project topics 1 2,103 23-11-2012, 01:45 PM
Last Post: seminar details
  Patient Monitoring System and Data Acquisition Through GSM seminar class 1 2,566 24-02-2012, 01:16 PM
Last Post: seminar paper
  AUTOMATIC WATER LEVEL MONITORING & CONTROLLER USING MICROCONTROLLER CIRCUIT seminar class 1 7,194 20-02-2012, 04:17 PM
Last Post: seminar paper

Forum Jump: