vhdl code of carry select adder
#1

Dear sirs
I want vhdl code of carry select adder with simulation in Modelsim.
Reply
#2

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity CSA is
Port ( x : in unsigned (3 downto 0);
y : in unsigned (3 downto 0);
z : in unsigned (3 downto 0);
cout : out std_logic;
s : out unsigned (4 downto 0)
);
end CSA;

architecture Behavioral of CSA is

component fulladder is
port (a : in std_logic;
b : in std_logic;
cin : in std_logic;
sum : out std_logic;
carry : out std_logic
);
end component;

signal c1,s1,c2 : unsigned (3 downto 0) := (others => '0');

begin

fa_inst10 : fulladder port map(x(0),y(0),z(0),s1(0),c1(0));
fa_inst11 : fulladder port map(x(1),y(1),z(1),s1(1),c1(1));
fa_inst12 : fulladder port map(x(2),y(2),z(2),s1(2),c1(2));
fa_inst13 : fulladder port map(x(3),y(3),z(3),s1(3),c1(3));

fa_inst20 : fulladder port map(s1(1),c1(0),c2(0),s(1),c2(1));
fa_inst21 : fulladder port map(s1(2),c1(1),c2(1),s(2),c2(2));
fa_inst22 : fulladder port map(s1(3),c1(2),c2(2),s(3),c2(3));
fa_inst23 : fulladder port map('0',c1(3),c2(3),s(4),cout);

s(0) <= s1(0);

end Behavioral;
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: source code for how to select image processing in java, verilog code for carry skip adder, vhdl code of carry select adder, vhdl program for carry select adder, manchester carry adder vhdl code, carry select adder vhdl code structure, carry select adder vhdl code,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  vhdl code for radix 2 modified booth algorithm 4 1,041 04-04-2017, 10:24 AM
Last Post: Garlapati nikitha
  vhdl code for exponential function 1 512 11-06-2016, 03:30 PM
Last Post: dhanabhagya
  16 bit kogge stone adder verilog code 1 1,013 08-06-2016, 04:26 PM
Last Post: dhanabhagya
  interfacing ldr in fpga using vhdl 1 631 31-05-2016, 11:56 AM
Last Post: dhanabhagya
  vhdl based 2 digit counter with seven segment display 1 610 12-05-2016, 10:47 AM
Last Post: dhanabhagya
  code ecg vhdl 1 589 07-05-2016, 11:22 AM
Last Post: dhanabhagya
  prime number identifier code in lex code 1 896 29-04-2016, 11:54 AM
Last Post: dhanabhagya
  verilog code for error tolerant adder 1 470 27-04-2016, 11:06 AM
Last Post: dhanabhagya
  vhdl code for water level controller 1 542 22-04-2016, 10:29 AM
Last Post: dhanabhagya
  vhdl code for brushless dc motor controller fpga 1 593 21-04-2016, 01:05 PM
Last Post: dhanabhagya

Forum Jump: