physics working projects for class 11
#1

i want to make a working project on physics(mechanics,newtons laws of motion ,surface tension ,pressure, elasticity ,viscosity,........except eletrical and magnetism any other topic) so please help me
Reply
#2

hey i want to make non working model on any topic of physics
So can you suggest any topics within 12 hrs. :)
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Tagged Pages: physics project topic for class 11, physics model ideas for class 11, easy physics project of class 11 12 on topic motion, physics projects working models, 11th class mechanics projects with model, physice project work on any topic related to class 11 and 12, working model of phy 11th,
Popular Searches: seminar projects thread physics class, physics projects for class 12 ncertram, working modal of physics, physics working of dynamo, 12 class projects, physics interview imperial, physics models projects for class 11,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
Video frequency counter using ic 74c926 diagram working 1 4,273 02-01-2019, 09:35 PM
Last Post:
  communication for class xii ppt 1 12,948 07-12-2018, 10:27 PM
Last Post:
  class 12 physics project on full wave rectifier 1 23,885 23-11-2018, 10:58 AM
Last Post:
  report of seasonal goods for commerce projects 2 14,041 06-02-2018, 10:13 AM
Last Post: dhanabhagya
  working login id of kirtu 2 3,581 27-01-2018, 01:26 PM
Last Post: Guest
  project physics class 12 on moving coil galvanometer 3 26,652 04-01-2018, 10:59 AM
Last Post: dhanabhagya
Smile physics investigatory projects for class 12 cbse to set up a common base transistor circuit and to study its input and o 3 25,151 20-12-2017, 09:44 AM
Last Post: jaseela123d
  physics lab viva questions with answers for b tech 1st year 6 15,539 08-12-2017, 10:26 AM
Last Post: jaseela123d
  best project of business studies of class 12th 3 1,988 07-12-2017, 09:02 AM
Last Post: jaseela123d
Big Grin engineering physics by pk palanisamy free download 4 4,739 04-12-2017, 02:52 PM
Last Post: jaseela123d

Forum Jump: