implementation of reversible multiplier verilog code
#1

i need vhdl/verilog implementation of 8 bit mac unit using wallce tree multiplier and reversible gates
Reply
#2
Multiplier circuits play an important role in reversible computing, which is useful in a number of areas, such as low power CMOS design, optical computing, DNA computing and bioinformatics. Here we propose a new reversible multiplier circuit with optimized hardware complexity. The optimized multiplier circuit is compared with the previous proposals. We have shown that the quantum cost of previous proposals can be further reduced with the aid of existing local optimization algorithms (eg template matching, mobile rule and elimination rule). A systematic protocol for quantum cost reduction has been proposed. It has also been shown that the advantage in the gate count obtained in some of the above proposals by the introduction of new reversible gates is an artefact and if allowed, then each block of circuit can be reduced to a single gate. In addition, it is shown that the 4x4 reversible floodgates proposed for designing a multiplier circuit component (full adder) are neither unique nor special and many such 4x4 gates can be proposed. As an example, three of these new gates have been presented and the proposed gates are shown to be universal. It also shows that the total cost of our design is minimal. Reversible logic gates are highly demanded by future computing technologies, as they are known to produce zero power dissipation under ideal conditions. A digital combinational logic circuit is reversible if it assigns each input pattern to a single output pattern. These circuits are of interest in quantum computing, optical computing, nanotechnology and low-power CMOS design. Low power consumption and smaller area are some of the most important criteria for high performance systems. Optimizing speed and multiplier area is a major design problem.
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: multiplier accumulator implementation in verilog, verilog code for 8 8 mac unit using dadda multiplier using reversible logic, hdl code for reversible multiplier, multiplier and accumulator implementation in verilog, reversible multiplier wiki, reversible multiplier vhdl, ppt on multiplier implementation,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  ppt on design and implementation of intelligent campus security tracking system based on rfid and zigbee 7 16,051 09-02-2018, 02:20 PM
Last Post: udaya
  verilog radix 8 booth multiplier 7 3,254 18-10-2017, 11:05 AM
Last Post: jaseela123d
Video verilog code for low power and area efficient carry select adder 2 1,555 02-05-2017, 09:56 AM
Last Post: jaseela123d
  verilog code for linear convolution 1 1,427 12-04-2017, 02:26 PM
Last Post: jaseela123d
  sinkhole aodv implementation code in ns2 1 886 10-04-2017, 04:25 PM
Last Post: jaseela123d
  8 bit braun multiplier design ppt shruthi t c 2 1,918 07-04-2017, 02:32 PM
Last Post: ppar
Star code of parallel multiplier in vhdl 1 813 07-04-2017, 11:49 AM
Last Post: jaseela123d
  water level controller using verilog 1 724 04-04-2017, 12:29 PM
Last Post: jaseela123d
  matlab code for adaptive differential pulse code modulation 1 1,131 04-04-2017, 11:49 AM
Last Post: jaseela123d
Wink implementation of cdma signaling technique using matlab with code 1 769 04-04-2017, 10:00 AM
Last Post: jaseela123d

Forum Jump: