FinFET Technology
#4
presented by:
Venkatnarayan Hariharan

[attachment=10263]
Abstract
In this report, the basic FinFET structure is described, along with the reasons behind its introduction. The fabrication steps are briefly discussed. Lastly, some recent work on FinFETs is presented, along with the outstanding issues that people have been focusing on.
Introduction
As devices shrink further and further, the problems with conventional (planar) MOSFETs are increasing. Industry is currently at the 90nm node (ie. DRAM half metal pitch, which corresponds to gate lengths of about 70nm). As we go down to the 65nm, 45nm, etc nodes, there seem to be no viable options of continuing forth with the conventional MOSFET. Severe short channel effects (SCE) such as VT rolloff and drain induced barrier lowering (DIBL), increasing leakage currents such as subthreshold S/D leakage, D/B (GIDL), gate direct tunneling leakage, and hot carrier effects that result in device degradation is plaguing the industry (at the device level; there are other BEOL (back-end of the line) problems such as interconnect RC delays which we won’t discuss here). Reducing the power supply Vdd helps reduce power and hot carrier effects, but worsens performance. Performance can be improved back by lowering VT but at the cost of worsening S/D leakage. To reduce DIBL and increase adequate channel control by the gate, the oxide thickness can be reduced, but that increases gate leakage. Solving one problem leads to another. Efforts are on to find a suitable high-k gate dielectric so that a thicker physical oxide can be used to help reduce gate leakage and yet have adequate channel control, but this search has not been successful to the point of being usable. There are problems with band alignment (w.r.t Si) and/or thermal instability problems and/or interface states problems (with Si). The thermal instability problem has led researchers to search for metal gate electrodes instead of polysilicon (because insufficient activation leads to poly depletion effects). But metal gates with suitable work functions haven’t been found to the point of being usable. In the absence of this, polysilicon continues to be used, whose work function demands that VT be set by high channel doping. High channel doping in turn leads to random dopant fluctuations (at small gate lengths) as well as increased impurity scattering and therefore reduced mobility. Indeed, it is felt that instead of planar MOSFETs, a double gate device will be needed at gate lengths below 50nm [1] in order to be able to continue forth on the shrinking path.
What is a DG-MOSFET?
Double gate MOSFETs (DG-FET) is a MOSFET that has two gates to control the channel. Its schematic Its main advantage is that of improved gate-channel control. In conjunction with ultra thin bodies in an SOI implementation (FDSOI DG-FET), it additionally offers reduced SCE, because all of the drain field lines are not able to reach the source. This is because the gate oxide has a lower dielectric constant than Si (assuming the oxide is SiO2), and also because the body is ultra thin. Because of its greater resilience to SCE and greater gate-channel control, the physical gate thickness can be increased (compared to planar MOSFET). Thus it also brings along reduced leakage currents (gate leakage as well as S/D leakage).
There are 2 kinds of DG-FETs:
• Symmetric
• Asymmetric
Symmetric DG-FETs have identical gate electrode materials for the front and back gates (ie. top and bottom gates). When symmetrically driven, the channel is formed at both the surfaces. In an asymmetric DG-FET, the top and bottom gate electrode materials can differ (eg. n+ poly and p+ poly). When symmetrically driven this would end up forming a channel on only one of the surfaces. Both have their advantages and disadvantages. Recent work regarding them will be described in a later section in this report.
Energy band diagrams for symmetrical and asymmetrical DG-FETs The biggest and perhaps the only stumbling block with DG-FETs is its fabrication. One can conceive of 3 ways [4, 7] to fabricate a DG-FET, labeled
What is a FinFET?
Type 3 DG-FETs are called FinFETs. Even though current conduction is in the plane of the wafer, it is not strictly a planar device. Rather, it is referred to as a quasi-planar device, because its geometry in the vertical direction (viz. the fin height) also affects device behavior. Amongst the DG-FET types, the FinFET is the easiest one to fabricate. Its schematic Because of the vertically thin channel structure, it is referred to as a fin because it resembles a fish’s fin; hence the name FinFET. A gate can also be fabricated at the top of the fin, in which case it is a triple gate FET. Or optionally, the oxide above the fin can be made thick enough so that the gate above the fin is as good as not being present. (This helps in reducing corner effects, discussed later in this report)
It should be noted that while the gate length L of a FinFET is in the same sense as that in a conventional planar FET, the device width W is quite different. W is defined as:
where Hfin and Tfin are the fin height and thickness respectively (see Fig. 4 above. Some literature refers to the fin thickness as the fin width). The reason for this is quite clear when one notices that W as defined above is indeed the width of the gate region that is in touch with (ie. in control of) the channel in the fin (albeit with a dielectric in between). This fact can especially be seen if one unfolds the gate (ie. unwraps it).
The above definition of device width is for a triple gate FinFET. If the gate above the fin is absent/ineffective, then the Tfin term in the above definition is taken out.
On the surface, this freedom in the vertical direction (of increasing Hfin) is a much desired capability since it lets one increase the device width W without increasing the planar layout area! (Increasing W increases the Ion, a desirable feature). However, it will be seen in subsequent sections in this report, that there is a definite range (in relation to Tfin) beyond which Hfin should not be increased, else one encounters
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: full seminer of finfet technology, finfet ppt, finfet capacitance, finfet fullform, finfet technical seminar ppt, finfet details pdf, epitaxial finfet,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Messages In This Thread
FinFET Technology - by abhi51 - 15-06-2010, 08:46 PM
RE: FinFET Technology - by seminars on demand - 22-06-2010, 01:54 PM
RE: FinFET Technology - by seminar surveyer - 23-12-2010, 03:50 PM
RE: FinFET Technology - by seminar class - 16-03-2011, 09:37 AM

Possibly Related Threads...
Thread Author Replies Views Last Post
Thumbs Up High Technology: Underwater Inspection Systems donbold1012 0 8,522 09-08-2018, 01:42 PM
Last Post: donbold1012
Smile download wi vi technology seminars report pdf 2 16,425 24-01-2018, 11:27 PM
Last Post: sultan@123
  5d data storage technology ppt 2 1,654 01-12-2017, 09:43 PM
Last Post: Ajaykc
  -dual-fuel-six-stroke-engine-with-egr-technology jose varghese 1 2,512 08-10-2017, 09:32 PM
Last Post: Raju chaudhary
  STEALTH TECHNOLOGY IN SHIPS 1 1,222 07-03-2017, 01:09 PM
Last Post: jaseela123d
  free download electronic skin technology ppt 2 1,662 21-09-2016, 08:57 AM
Last Post: Guest
  ppt on smart charging technology for portable electronics devices 2 1,356 28-08-2016, 07:07 AM
Last Post: Guest
  phonet a voice based web technology tejasree 4 2,478 02-08-2016, 09:36 AM
Last Post: seminar report asees
  download ppt for hyperloop technology 2 2,043 23-07-2016, 09:40 AM
Last Post: jaseela123d
Thumbs Up longhorn technology seminars ppt 2 747 16-07-2016, 04:00 PM
Last Post: anasek

Forum Jump: