vliw architecture full report
#1

[attachment=3187]

¢ VLIW
ARCHITECTURE

¢ Increasing Processor Performance
Semiconductor Technology
Parallel Processing
Multiprocessors, Multicomputers
Parallelism within the Processor
Pipelining
ILP
¢ ILP (Instruction Level Parallelism)
Parallel Execution of Instructions.
Overlapping of instructions
ILP processors
Superscalar processors
VLIW processors.
¢ Scalar Processors
Fetching and executing an instruction at a time
A program represents a plan of execution.
The processor acts as an interpreter that executes the instruction in the program one at a time.
¢ Execution in a Scalar Processor
Decision about operations by H/W
More than one instruction at a time
Dynamic scheduling
¢ Basic Superscalar Approach
¢ Execution in Superscalar
¢ Disadvantages of Superscalar
Complexity of hardware.
Window size constrained. This limits the capacity to detect independent instructions.
More power consumption.
¢ VLIW

Very Long Instruction Word.
Instructions hundereds of bits in length
Uses long instruction called a Multiop
Multiple functional units are concurrently used
Functional units share a common register file.
Code compaction by compiler.
¢ A Brief History

Joseph fisher,Trace scheduling,1979
He coined the acronym VLIW.
In 1984, two companies were started
Multiflow, started by Joseph Fisher
Cydrome, founded by Bob Rau.
Basic VLIW Approach
In 1987, Cydrome delivered the first machine “ the 256 bit Cydra 5.
Multiflow delivered
Trace/200 - 1987
Trace/300 - 1988
Trace/500 - 1990
Since then VLIW machines have seen a revival and some degrees of success.
Multiflow closed in 1990
Cydrome closed in 1998

¢ VLIW Execution

¢ Case Studies
Defoe.
Intel Itanium Processor.
Transmeta Crusoe Processor.
¢ Defoe Architecture
¢ Instruction Encoding

64 bit compressed VLIW architecture.
Used variable length multiops
Individual operations are encoded as 32 bit words.
A special stop bit indicates the end of an instruction word.
¢ Intel Itanium Processor

Intelâ„¢s first implementation of IA-64.
IA-64 is an ISA for the EPIC (Explicitly Parallel Instruction Computing) style of VLIW, developed jointly by Intel and HP.
64 bit processor, with
4 integer units
4 multimedia units
2 load/store units
2 extended precision floating

point units
2 single precision floating point units

¢ Transmeta Crusoe Processor

Designed to reduce power consumption.
Dynamic scheduling consumes more power.
VLIW replaces the complex ways of gaining ILP with simpler and more power efficient ways.
¢ Instruction Format

Instructions are either 64 or 128 bits long.
Molecules and atoms.
64 GPRs
¢ Compiler Support

Instruction scheduling algorithms are critical.
Three important scheduling algorithms
Trace scheduling
Trace scheduling-2
Super Block scheduling
¢ Advantages

Less hardware complexity.
Static Scheduling
Much more hardware can be devoted to useful computation.
Software has a larger window to look at..
Can find more ILP.
¢ Shortcomings

Wasteful encoding with NOPs.
Hard to maintain code compatibility between generations.
Increased program size.
Compiler has to explicitly add NOP.
New versions of the architecture can force major rewriting of the compiler.
¢ Future of VLIW

Newer processors are mainly used for
Stream and image processing. Eg PhilipsTrimedia
Digital Signal Processig. Eg TMS320C62x from Texas Instr
Mobile computing. Eg Transmeta Crusoe
High end server applications. Eg Intel Itanium
Stream and media processing lend themselves
to VLIW style with large amounts of ILP.
Superscalars will be forced to use simpler
structures and seek help from software.

¢ References

cs.utah.edu/~mbinu/coursework/686_vliw/
semiconductors.philipsacrobat/others/
Advanced Computer Architecture - Kai Hwang.
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: vliw architecture ppt, how to do coursework, what is different between vliw and 8051, vliw vs superscalar, vliw architecture report, 2nd level data forwarding vliw, vhdl code for vliw vector media coprocessor with cascaded simd alus,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  computer networks full report seminar topics 8 42,025 06-10-2018, 12:35 PM
Last Post: jntuworldforum
  OBJECT TRACKING AND DETECTION full report project topics 9 30,657 06-10-2018, 12:20 PM
Last Post: jntuworldforum
  imouse full report computer science technology 3 24,899 17-06-2016, 12:16 PM
Last Post: ashwiniashok
  Implementation of RSA Algorithm Using Client-Server full report seminar topics 6 26,613 10-05-2016, 12:21 PM
Last Post: dhanabhagya
  Optical Computer Full Seminar Report Download computer science crazy 46 66,343 29-04-2016, 09:16 AM
Last Post: dhanabhagya
  ethical hacking full report computer science technology 41 74,444 18-03-2016, 04:51 PM
Last Post: seminar report asees
  broadband mobile full report project topics 7 23,323 27-02-2016, 12:32 PM
Last Post: Prupleannuani
  steganography full report project report tiger 15 41,336 11-02-2016, 02:02 PM
Last Post: seminar report asees
  Digital Signature Full Seminar Report Download computer science crazy 20 43,690 16-09-2015, 02:51 PM
Last Post: seminar report asees
  Mobile Train Radio Communication ( Download Full Seminar Report ) computer science crazy 10 27,940 01-05-2015, 03:36 PM
Last Post: seminar report asees

Forum Jump: