help me sir
#1

sir thank you for your reply on radix-4 booth multiplier.it help me alot but sir i am not able to run its VHDL program.it show me an error.our teachers r also not able to rectify that error.so i have only one solution and that is you.please sir help me either send me the rectified program or kindly suggest me some other websit by which i am able to complete my project on radix-4 booth multiplier.

the program is as follow : (if needed)


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
use ieee.numeric_std.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity booth_encoder is
-- generic(N : integer:=8);
Port ( a : in std_logic_vector(7 downto 0);
arg : in std_logic_vector(2 downto 0);
pprod : out std_logic_vector(15 downto 0));
end booth_encoder;
architecture Behavioral of booth_encoder is
function encoder(arg1: std_logic_vector(2 downto 0);dataConfusedtd_logic_vector(7
downto 0))
return std_logic_vector is
variable temp,temp1,temp2: std_logic_vector(8 downto 0);
variable sign: std_logic;
begin
case arg1 is
when "001"|"010" =>
if data <0 then
temp:='1'& data;
else
temp:='0'&data;
end if;
when "011" =>
if data<0 then
temp1:='1'&data;
temp:=temp1(7 downto 0)&'0';
else
temp:='0'&data(6 downto 0)&'0';
end if ;
when "100" =>
if data<0 then
temp1:='1'&data;
temp2:=(not temp1)+"000000001";
temp:=(temp2(7 downto 0)&'0');
else
temp1:='0'&data;
temp2:=(not temp1)+"000000001";
temp:=(temp2(7 downto 0)&'0');
end if;
when "101"|"110" =>
if data < 0 then
temp1:='1'&data;
temp:=not(temp1)+"000000001";
else
temp1:='0'&data;
temp:=(not temp1)+"000000001";
end if;
when others =>
temp:="000000000";
--"(others=>'0');
end case;
return temp;
end encoder;
signal s1: std_logic_vector(8 downto 0);
signal s2: std_logic;
begin
s1<=encoder(arg,a);
--s2<=s1(8);
--pprod<=s2&s2&s2&s2&s2&s2&s2&s2&s1(7 downto 0);
pprod<=sxt(s1,16);
end Behavioral;



sir i am waiting for your reply.
Reply
#2
please post here http://objectmixvhdl/ they are expert of this program
i hope they can solve your problem
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: help me in spanish, help the beatles, help japan, powered by smf physics help thermistors, brown mycourses help, help for depression, niper notes of gudsurkar sir pdf,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  FABRICATION OF A WIND POWERED VEHICLE help with a project hunain zafar 4 2,219 02-08-2017, 12:20 PM
Last Post: jaseela123d
  lottery sambad numbering tips help formula 3 1,560 20-02-2017, 04:40 PM
Last Post: jaseela123d
  lottery sambad winning help tips 2 922 21-07-2016, 02:29 PM
Last Post: dhanabhagya
  iris recognition with help of hough transform and winger matrix 1 550 13-05-2016, 10:15 AM
Last Post: dhanabhagya
  gudsurkar sir notes for niper 1 453 10-05-2016, 12:57 PM
Last Post: dhanabhagya
  notes of gudsurkar sir pharmacy 1 561 25-04-2016, 10:51 AM
Last Post: dhanabhagya
  dfd diagrams of online help desk support system pdf 1 633 12-03-2016, 01:19 PM
Last Post: seminar report asees
  need help regarding asp.net project komal_loving 6 6,350 06-09-2014, 02:45 AM
Last Post: Guest
  VANET help nikhilm 5 2,587 18-06-2013, 10:04 AM
Last Post: computer topic
  hello please help s.rajya lakshmi 1 1,203 14-02-2012, 01:20 PM
Last Post: seminar paper

Forum Jump: