design a calculator using vhdl ppt
#1

I want to make an scientific calculator using vhdl please help me.
Reply
#2
FPGA (Field Programmable Gate Array) is one of the most powerful and successful tools for the development of real time systems. It is also one of the most widely used. A calculator is an example of such a real time system. This project aims to design a simple calculator using FPGA. The calculator would be able to perform basic calculations such as addition, subtraction, multiplication and division. The system was designed using VHDL (Very high speed integrated circuit Hardware Description Language). The target board used was a Xilinx Spartan 3A series, the VHDL codes were targeted to the board using Xilinx ISE. A numeric keypad was used as the input device and the onboard LCD of the target board was used as the output device. The whole system design was divided into 3 phases.
Reply
#3

Introduction

The purpose of this lab is to implement a finite state machine in VHDL to perform three simple calculations: addition, subtraction, and multiplication. You are required to design a calculator using VHDL. It should take in 3 inputs: two 4-bit operands and a 2-bit operator. Check it's functionality using ALDEC VHDL simulator, then wire up the DIP switch to the XS40 board and download your program unto XS40 board and verify correctness again.

Apparatus Required:

10 pin DIP Switch
XS40 Board


Program:


--
-- Tony Givargis
--

--********************************************************************

library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;

--********************************************************************

entity WRLED is
port (rst: in STD_LOGIC;
clk: in STD_LOGIC;
val : in UNSIGNED(3 downto 0);
led: out UNSIGNED(6 downto 0));
end WRLED;

--********************************************************************

architecture WRLED_arch of WRLED is

-- finish entity

end WRLED_arch;

--********************************************************************

library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;

--********************************************************************

entity XS40 is
port (rst: in STD_LOGIC;
clk: in STD_LOGIC;

--define operands and operator here

led: out UNSIGNED(6 downto 0));
end XS40;

--********************************************************************

architecture XS40_ARCH of XS40 is

component WRLED
port(val: in UNSIGNED(3 downto 0);
led: out UNSIGNED(6 downto 0));
end component;

signal val:UNSIGNED(3 downto 0);

begin

U1:WRLED port map(val, led);

process(rst, clk, ...)

-- insert calculator code here

end process;
end XS40_ARCH;

I. Procedure

simulation:

Complete the code and test the functionality using ALDEC VHDL.
You may find the 7-segment display diagram useful to complete the WRLED entity.
Show TA the waveforms.
downloading:
If you are unfamiliar with downloading to the XS40 board, run through the tutorial and see if you can get the XS40 board to count.
(Remember: Jumper on J4 needs to be set when loading program but disconnected when running program)
Wire up the circuit, it is up to you to choose the connections. You will probably need the circuit diagram or the XS40 board manual.
You will need to create a .ucf to download your VHDL calculator.
Generate a bit file and download your program unto the XS40 board and test your program.
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: vhdl calculator, how to make an scientific calculator using vhdl pdf download, webcourses ucf, ppt on calculator in java, calculator 8086 applications ppt download, vhdl code for cordic calculator,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  industrial self supporting chimney design calculation 0 8,264 11-02-2021, 05:11 PM
Last Post:
  computer aided design vijayaraghavan book free download 2 10,016 27-11-2018, 04:49 PM
Last Post:
  slide share ppt of artifical hand using embedded system 0 1,290 24-10-2018, 02:26 PM
Last Post: Guest
  vlsi design by kvkk prasad pdf 0 858 24-10-2018, 05:31 AM
Last Post: Guest
Information vlsi design by kvkk prasad pdf 0 871 23-10-2018, 10:27 PM
Last Post: Guest
  go kart design report in ieee format 0 5,969 06-10-2018, 04:21 PM
Last Post: Guest
  ppt of scientific calculator with e r diagram 1 977 05-10-2018, 12:18 AM
Last Post: Guest
  free download design of rectangular water tanks in xls 0 983 30-09-2018, 12:01 PM
Last Post: Guest
  computer aided design vijayaraghavan book free download 0 1,042 23-08-2018, 11:17 AM
Last Post: Guest
Rainbow design fabrication of hydraulic sheet bending machine wikipedia 3 1,174 15-08-2018, 06:10 PM
Last Post: Guest

Forum Jump: