dc motor verilog code for pwm
#1

Hi am vijay i would like to get details on dc motor verilog code for pwm.My friend Justin said dc motor verilog code for pwm will be available here and now i am living at hyderabad and i last studied in the college/school jntuk and now am doing project i need help on dc motor control by pwm using verolog code etc
Reply
#2

Description

In this example to rotate DC motor clockwise/counter clockwise continuously the motor interface card. For that in Spartan3 FPGA lines (P77, P78) are configured for (Coil-A to Coil-B). FPGA Lines (P79) to enable the dc motor. User could verify the result by “Stepper/DC motor Card” connected to the FPGASP3 KIT at connector J6.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity first is

port ( clk : in std_logic;

rst : in std_logic;


enable : out std_logic;

output1 : out std_logic;

output2 : out std_logic);


end first;

architecture Behavioral of first is

begin

process(rst,clk)

variable i : integer := 0;

begin

if rst = '1' then

if clk'event and clk = '1' then

enable <= '1';

if i <= 1005000 then

i := i + 1;

output1 <= '0';

output2 <= '0';

elsif i > 1005000 and i < 1550000 then

i := i + 1;

output1 <= '1';

output2 <= '0';

elsif i = 1550000 then

i := 0;

end if;


end if;

end if;

end process;

end Behavioral;
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: dc motor speed control in verilog coding, verilog code of pwm dc motor speed control, pwm code for lpc2148, verilog code speed control of a dc motor using pwm, vhdl pwm duty cycle code, verilog coding for the speed control of dc motor, sample c code for pwm in lpc1768,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  Over Speed Alarm Indicators of Electrical Motor with Digital RPM Indicator 0 266 25-07-2023, 05:33 AM
Last Post:
  can anyone provide us verilog HDL code for DWT 0 6,395 28-11-2018, 11:21 AM
Last Post:
  verilog code for atm machine pdf 1 712 27-07-2018, 10:38 AM
Last Post: Guest
  vending machine full project in verilog 0 622 02-07-2018, 10:15 PM
Last Post: Guest
  verilog code for linear convolution 0 534 19-06-2018, 05:26 PM
Last Post: Guest
  verilog code for 8 bit nikhilam sutra 0 534 15-06-2018, 11:44 AM
Last Post: Guest
  verilog code wallace tree multiplier using compressor 0 539 09-05-2018, 04:02 PM
Last Post: Guest
  motor winding books pdf free download in hindi 0 1,710 30-04-2018, 06:32 PM
Last Post: Guest
  verilog code for ant colony optimization to implement in fpga 0 510 21-04-2018, 10:17 AM
Last Post: Guest
  future scope of four quadrant dc motor speed control with microcontroller 0 753 20-04-2018, 05:52 PM
Last Post: Guest

Forum Jump: