A HIGH SPEED DISTRIBUTED FIFO SCHEME FOR MANAGING INTERCONNECTS
#1

A HIGH SPEED DISTRIBUTED FIFO SCHEME FOR MANAGING INTERCONNECTS

Abstract:- Interconnect delays are increasingly becoming the dominant source of performance degradation in the nano-meter regime, largely because the wires do not scale as well as the transistors. Scaling trends allow for complete systems to be built on a single chip (SoC), but they require long interconnects for global signals and clock distribution networks. The parasitic of these global interconnects make efficient and high-performance operation difficult. On-chip communication shortly will require tens of clock cycles for signal propagation between communicating modules/components. This communication is becoming less reliable as feature size and power supply voltages decrease, thus increasing the effect of environmental and process variations. Currently, repeater insertion is widely used to improve global interconnect delays, but with very high latency. In this thesis, an asynchronous distributed rst-in, rst-out (FIFO) buffer is proposed to facilitate communication between modules of highly integrated SoCs. A synchronous FIFO is built for comparison. Using an asynchronous FIFO helps alleviate the clock skew, clock distribution and single clock synchronization problems associated with high-speed, synchronous digital design. The distributed FIFO buffer scheme also improves latency considerably. In addition, this asynchronous FIFO scheme has very good tolerance to voltage and temperature variations. The buffer control circuitry is self-timed and allows for ease of interfacing in multiple domain clock designs. The asynchronous FIFO allows a maximum data transfer rate of 1.67 GHz and 2.35 GHz in a 0:25_m and 0:18_m technology respectively.
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: yuvashree scheme form, ppt presentation for asynchronous fifo, optical interconnects wikipedia, fpga implementation of fifo based multi channel uart controller for complex control systems, fifo full and empty conditions, fifo vhdl ppt, projects on fifo,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  HIGHWAY SPEED SENSING AND AUTOMATIC BREAKING SYSTEM smart paper boy 7 13,766 16-03-2016, 03:02 PM
Last Post: dhanabhagya
  WIRE LESS SPEED CONTROL OF AC MOTOR (USING MOBILE) smart paper boy 6 11,352 24-02-2016, 02:05 PM
Last Post: seminar report asees
  car speed control using bluetooth seminar class 5 6,307 10-07-2015, 01:55 PM
Last Post: seminar report asees
  CONTENT DEPENDENT WATER MARKING SCHEME FOR SPEECH SIGNAL seminar class 3 2,401 04-05-2015, 03:15 PM
Last Post: seminar report asees
  DESIGN AND IMPLEMENTATION OF ASYNCHRONOUS FIFO FOR EMBEDDED APPLICATIONS computer science crazy 1 22,900 14-04-2015, 05:38 PM
Last Post: Guest
  electronic project for high school project topics 3 3,589 11-08-2013, 11:52 PM
Last Post: vickkyy
  An Effective Wavelet-Based Watermarking Scheme Using Human Visual System seminar class 1 1,609 19-12-2012, 11:48 AM
Last Post: seminar details
  High Speed Downlink Packet Access (HSDPA) – A Means of Increasing Downlink Capacity smart paper boy 1 1,538 08-12-2012, 02:45 PM
Last Post: seminar details
  ADAPTIVE TECHNIQUES BASED HIGH IMPULSIVE NOISE DETECTION AND REDUCTION OF A DIGITAL smart paper boy 1 1,939 05-12-2012, 03:58 PM
Last Post: seminar details
  Distributed cache updating for the Dynamic source routing protocol computer science crazy 1 1,350 01-12-2012, 01:35 PM
Last Post: seminar details

Forum Jump: