8 point fft verilog
#1

Hi I am Aswini pursuing M.Tech in VLSI Design at Amrita school of Engineering . I would like to get details on 8 point fft using floating point representation.
My mail id: aswinikp005[at]gmail.com
Thank you
Reply
#2

The following figure shows the FFT implementation using the radix 2 algorithm.

[Image: f8.gif]


This is an 8 point FFT implementation using the butterfly unit, The butterfly unit is the heart of the FFT algorithm. From the figure u can see that if we are done with the butterfly unit we are 70% done with the FFT coding.
Okie now allows you to start coding the butterfly unit. The butterfly backbox model will have 2 complex inputs and 2 complex outputs

[Image: ff.png]

The second input is multiplied by the twiddle factor, ie wr + j wi) first. So before you start coding you should have the code written for the multiplication of complex numbers. Once you are done with multiplication, the next step is to do the addition. You can do this for simple CLA (bring add analyzers). Output Z1 is obtained by summing the result of the multiplication i.e (b1 + jb2) * (Wr + jWi) to the first number, ie, a1 + ja2. And output Z2 is obtained by subtracting the product multiplied with the first number. To put it in mathematical form;

Z1r + jZ1i = (b1 + jb1) * (Wr + jWi) + (a1 + ja2)


Z2r + jZ2i = (b1 + jb1) * (Wr + jWi) - (a1 + ja2)

If the result is analyzed proerly or you will find that we need a complex multiplier (which has 4 normal multipliers) and 4 CLA units. To put the process in an orderly fashion we have to proceed in steps like this
1> read 2 complex numbers and the twiddle factor
2> multiply the second number with the twiddle factor
3> add the product to the first number to get the first o / p
4> Subtract the product to the first number to get the first o / p
 
Reply

Important Note..!

If you are not satisfied with above reply ,..Please

ASK HERE

So that we will collect data for you and will made reply to the request....OR try below "QUICK REPLY" box to add a reply to this page
Popular Searches: implementing fft using verilog project reports with codes, report of 8 point fft, matlab code find 1024 point dit fft, 64 point fft chip ppt, n point fft, 8 point dit fft algorithm code in vhdl, 8 point fft verilog code,

[-]
Quick Reply
Message
Type your reply to this message here.

Image Verification
Please enter the text contained within the image into the text box below it. This process is used to prevent automated spam bots.
Image Verification
(case insensitive)

Possibly Related Threads...
Thread Author Replies Views Last Post
  can anyone provide us verilog HDL code for DWT 0 6,404 28-11-2018, 11:21 AM
Last Post:
  verilog code for atm machine pdf 1 723 27-07-2018, 10:38 AM
Last Post: Guest
  vending machine full project in verilog 0 630 02-07-2018, 10:15 PM
Last Post: Guest
  verilog code for linear convolution 0 539 19-06-2018, 05:26 PM
Last Post: Guest
  verilog code for 8 bit nikhilam sutra 0 540 15-06-2018, 11:44 AM
Last Post: Guest
  verilog code wallace tree multiplier using compressor 0 543 09-05-2018, 04:02 PM
Last Post: Guest
  case study of one patent from product design point of view 0 1,853 27-04-2018, 10:17 PM
Last Post: Guest
  verilog code for ant colony optimization to implement in fpga 0 516 21-04-2018, 10:17 AM
Last Post: Guest
  how to interface lm35 with fpga code in verilog 0 529 04-03-2018, 09:56 PM
Last Post: Guest
  dma controller using verilog code 0 608 04-03-2018, 09:16 PM
Last Post: Guest

Forum Jump: